ID Artikel: 000073970 Jenis Konten: Pemecahan Masalah Terakhir Ditinjau: 20/12/2019

Mengapa saya mungkin melihat Bit Error Rate (BER) tinggi sesekali setelah pengerjaan atau kalibrasi mode pengguna pada transceiver simplex RX perangkat Intel® Arria® 10 atau Intel® Cyclone® 10 GX?

Lingkungan

  • Intel® Quartus® Prime Edisi Pro
  • Transceiver Native PHY Intel® Arria® 10 Cyclone® 10 FPGA IP
  • SDI II Intel® FPGA IP
  • Intel® CPRI
  • DisplayPort* Intel® FPGA IP
  • HDMI* Intel® FPGA IP
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Deskripsi

    Karena masalah pada kode kalibrasi perangkat Intel® Arria® 10 atau Intel® Cyclone® 10 GX, Anda mungkin melihat BER tinggi setelah pemberdayaan atau kalibrasi mode pengguna dari transiver simpleks RX, ketika pemancar yang tidak terpakai disimpan dengan salah satu penetapan File Pengaturan Prime (QSF) Intel® Quartus® berikut.

     

    Penugasan QSF pelestarian global

    set_global_assignment –nama PRESERVE_UNUSED_XCVR_CHANNEL AKTIF

    Penetapan QSF pengawetan per-pin

    set_instance_assignment –nama PRESERVE_UNUSED_XCVR_CHANNEL AKTIF –ke nama

     

    Saluran penerima simpleks mungkin tidak dikalibrasi dengan benar jika pemancar yang tidak terpakai disimpan. Saluran penerima Simplex yang pemancar terkait tidak disimpan dikalibrasi dengan benar.

    Resolusi

    Anda dapat menggunakan salah satu metode berikut untuk mengatasi masalah ini.

    • Dalam kasus di mana pemancar yang tidak digunakan tidak akan pernah digunakan, dan tidak perlu disimpan, Anda dapat menghapus penugasan QSF untuk pin pemancar terkait.
    • Dalam kasus di mana pemancar yang tidak digunakan dapat digunakan di masa depan dan penyimpanan diperlukan, Anda dapat mengintegrasikan kecepatan data minimum, dummy simplex transmitter yang sesuai dengan penerima simplex yang digunakan. Anda dapat mengatur pola 0x00 statis pada port paralel Tx, dan memilih VOD minimum.
    • Masalah ini telah diperbaiki pada perangkat lunak Intel® Quartus® Prime Edisi Pro versi 19.1, Intel® Quartus® perangkat lunak Prime Edisi Standar versi 18.1 pembaruan 1 dan versi yang lebih baru dari perangkat lunak Intel® Quartus® Prime.


     

     

    Produk Terkait

    Artikel ini berlaku untuk 2 produk

    Intel® Cyclone® 10 GX FPGA
    Intel® Arria® 10 FPGA dan SoC FPGA

    Isi halaman ini adalah kombinasi terjemahan manusia dan komputer dari konten berbahasa Inggris. Konten ini diberikan hanya untuk kenyamanan Anda serta sebagai informasi umum dan tidak bisa dianggap sebagai lengkap atau akurat. Jika terdapat kontradiksi antara versi bahasa Inggris halaman ini dan terjemahannya, versi bahasa Inggris akan didahulukan. Lihat versi bahasa Inggris halaman ini.