ID Artikel: 000074476 Jenis Konten: Pemecahan Masalah Terakhir Ditinjau: 11/07/2015

Cyclone V Hard IP untuk Model PCI Express IP Core VHDL Mungkin Tidak Berhasil Disimulasikan dengan Simulator ModelSim-Altera

Lingkungan

  • Intel® Quartus® II Edisi Berlangganan
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Masalah Kritis

    Deskripsi

    Jika Anda menghasilkan model simulasi VHDL untuk Cyclone V Hard IP untuk PCI Express IP variasi endpoint core Gen2 x4, Anda tidak dapat mensimulasikan inti IP Anda dengan sukses simulator ModelSim-Altera.

    Resolusi

    Masalah ini tidak memiliki solusi. Anda harus menggunakan model simulasi HDL Verilog untuk ini Variasi inti IP, atau simulasi dengan alat simulasi yang berbeda.

    Produk Terkait

    Artikel ini berlaku untuk 1 produk

    Cyclone® V FPGA dan SoC FPGA

    Isi halaman ini adalah kombinasi terjemahan manusia dan komputer dari konten berbahasa Inggris. Konten ini diberikan hanya untuk kenyamanan Anda serta sebagai informasi umum dan tidak bisa dianggap sebagai lengkap atau akurat. Jika terdapat kontradiksi antara versi bahasa Inggris halaman ini dan terjemahannya, versi bahasa Inggris akan didahulukan. Lihat versi bahasa Inggris halaman ini.