ID Artikel: 000074853 Jenis Konten: Informasi & Dokumentasi Produk Terakhir Ditinjau: 21/12/2019

Bagaimana cara mensimulasikan Intel FPGA IP ASMI dengan model memori internal dan eksternal saat menggunakan perangkat Intel® Arria® 10 dan Intel Cyclone® 10 GX?

Lingkungan

  • Intel® Quartus® Prime Edisi Pro
  • Komponen Generik
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Deskripsi

    Untuk kasus penggunaan model memori internal, saat ini kami hanya mendukung simulasi Intel FPGA IP ASMI di perangkat Intel® Arria® 10 dan Intel Cyclone® 10 GX dengan model flash untuk perangkat konfigurasi serial EPCQL1024.

    Ikuti langkah-langkah di bagian Resolusi untuk melakukan simulasi dengan model EPCQL internal (Catatan: Simulasi ini diuji dengan perangkat lunak simulasi Mentor Graphics* ModelSim* SE).

    Resolusi
    1. Buat model simulasi untuk blok ASMI dan atur parameter enable_sim ke true dalam file:
      Direktori <proyek>/<NAMIIP>/<altera_asmi_parallel_<quartus_version>>/<sim>/<ip_name>altera_asmi_parallel_<quartus_version>_<unique_ip_id>.v
    2. Buat file testbench dengan inisialisasi blok ASMI seperti yang ditunjukkan pada contoh berikut:

    <ip_name>_altera_asmi_parallel_<quartus_version>_<unique_ip_id> tugas(

    .clkin (clkin), // clkin.clk

    .fast_read (fast_read), // fast_read.fast_read

    .rden (rden), // rden.rden

    .addr (addr), // addr.addr

    .read_status (read_status), // read_status.read_status

    .write (tulis), // write.write

    .datain (datain), // datain.datain

    .sector_protect (sector_protect), // sector_protect.sector_protect

    .sector_erase (sector_erase), // sector_erase.sector_erase

    .die_erase (die_erase), // die_erase.die_erase

    .wren (wren), // wren.wren

    .read_rdid (read_rdid), // read_rdid.read_rdid

    .en4b_addr (en4b_addr), // en4b_addr.en4b_addr

    .ex4b_addr (ex4b_addr), // ex4b_addr.ex4b_addr

    .reset (reset), // reset.reset

    .sce (sce), // sce.sce

    .dataout (dataout), // dataout.dataout

    .busy (sibuk), // busy.busy

    .data_valid (data_valid), // data_valid.data_valid

    .status_out (status_out), // status_out.status_out

    .illegal_write (illegal_write), // illegal_write.illegal_write

    .illegal_erase (illegal_erase), // illegal_erase.illegal_erase

    .read_address (read_address), // read_address.read_address

    .rdid_out (rdid_out) // rdid_out.rdid_out

    );

    1. Jalankan simulasi.

    Untuk menyimulasikan dengan model memori eksternal, ikuti langkah-langkah berikut:

    1. Buat model simulasi untuk blok ASMI dan atur parameter enable_sim menjadi false dalam file:
      direktori <proyek>/<ASMI NAMA IP>/<altera_asmi_parallel_<quartus_version>>/<sim>/<ip_name>altera_asmi_parallel_<quartus_version>_<unique_ip_id>.v
    2. Buat file testbench dengan inisialisasi blok ASMI (seperti yang ditunjukkan pada contoh kode sebelumnya):
    3. Buat pembungkus untuk memori eksternal
    4. Dalam proyek simulasi, kompilasi file berikut untuk folder kerja Anda:
    • model simulasi flash
    • asmi_sim_model pembungkus desain
    • berkas testbench
    1. Jalankan simulasi.

    Produk Terkait

    Artikel ini berlaku untuk 2 produk

    Intel® Cyclone® 10 GX FPGA
    Intel® Arria® 10 FPGA dan SoC FPGA

    Isi halaman ini adalah kombinasi terjemahan manusia dan komputer dari konten berbahasa Inggris. Konten ini diberikan hanya untuk kenyamanan Anda serta sebagai informasi umum dan tidak bisa dianggap sebagai lengkap atau akurat. Jika terdapat kontradiksi antara versi bahasa Inggris halaman ini dan terjemahannya, versi bahasa Inggris akan didahulukan. Lihat versi bahasa Inggris halaman ini.