ID Artikel: 000075111 Jenis Konten: Pesan Kesalahan Terakhir Ditinjau: 20/02/2015

Peringatan (332060): Node: *inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk.reg ditentukan sebagai clock tetapi ditemukan tanpa penugasan clock terkait.

Lingkungan

BUILT IN - ARTICLE INTRO SECOND COMPONENT
Deskripsi

Karena masalah dengan batasan waktu untuk Intel® Arria® 10 Hard IP untuk PCI Express, Anda mungkin menghadapi peringatan berikut di Penganalisis Waktu:

Node: <ip instance>|altpcie_a10_hip_hwtcl:pcie_1x|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|altpcie_a10_hip_pllnphy:g_xcvr.altpcie_a10_hip_pllnphy|phy_g1x1:g_xcvr.g_phy_g1x1.phy_g1x1|altera_xcvr_native_a10:phy_g1x1|twentynm_xcvr_native:g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_rev_20nm5es2: twentynm_xcvr_native_inst|twentynm_pcs_rev_20nm5es2:inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface~pma_hclk.reg ditentukan sebagai clock tetapi ditemukan tanpa penugasan clock terkait.

Resolusi

Terapkan batasan waktu berikut untuk membatasi clock ini dengan benar:

create_generated_clock -name {pcie_1x|pma_hclk_by2} -source [get_pins -compatibility_mode {*altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_pll.g_pll_g1g2x1.fpll_g1g2x1|fpll_g1g2x1|fpll_refclk_select_inst|refclk}] -duty_cycle 50.000 -multiply_by 5 -divide_by 2 [get_pins -compatibility_mode {*altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g1x1.phy_g1x1|phy_g1x1|g_xcvr_native_insts[0]. twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|sta_pma_hclk_by2}]

Masalah ini akan diselesaikan dalam rilis perangkat lunak Quartus® II di masa mendatang.

Produk Terkait

Artikel ini berlaku untuk 3 produk

Intel® Arria® 10 GT FPGA
Intel® Arria® 10 SX SoC FPGA
Intel® Arria® 10 GX FPGA

Isi halaman ini adalah kombinasi terjemahan manusia dan komputer dari konten berbahasa Inggris. Konten ini diberikan hanya untuk kenyamanan Anda serta sebagai informasi umum dan tidak bisa dianggap sebagai lengkap atau akurat. Jika terdapat kontradiksi antara versi bahasa Inggris halaman ini dan terjemahannya, versi bahasa Inggris akan didahulukan. Lihat versi bahasa Inggris halaman ini.