ID Artikel: 000076734 Jenis Konten: Product Information & Documentation Terakhir Ditinjau: 07/10/2020

Bagaimana cara menyelesaikan peringatan penutupan waktu saat mengkompilasi desain dengan Intel® FPGA IP JESD204C dalam mode dasar saja?

Lingkungan

  • Intel® Quartus® Prime Edisi Pro
  • JESD204B Intel® FPGA IP
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Deskripsi

    Anda mungkin melihat beberapa atau semua pesan peringatan di bawah ini saat mengkompilasi desain dengan Intel® FPGA IP JESD204C dalam mode dasar saja dalam Intel® Quartus® Prime Edisi Pro versi 20.1 atau 20.2.

     

    ID Pesan

    Teks pesan

    17897

    Tidak ada periode clock tujuan yang ditemukan memenuhi penetapan set_net_delay dari "[get_keepers {mac_tx|j204c_tx_base_inst|j204c_tx_gearbox_inst|tx_gb[0].j204c_tx_gb_perlane_inst|tx_gb_fifo_inst|j204c_tx_dcfifo132b_m20k|dcfifo_component|auto_generated|delayed_wrptr_g*}]" hingga "[get_keepers {mac_tx|j204c_tx_base_inst|j204c_tx_gearbox_inst|tx_gb[0].j204c_tx_gb_perlane_inst|tx_gb_fifo_inst|j204c_tx_ dcfifo132b_m20k|dcfifo_component|auto_generated|rs_dgwp|dffpipe*|dffe*}]". Penugasan ini akan diabaikan.

    332182

    Tidak ada jalur yang ditemukan memenuhi tugas "set_max_skew -dari [get_keepers {mac_tx|j204c_tx_base_inst|j204c_tx_gearbox_inst|tx_gb[0].j204c_tx_gb_perlane_inst|tx_gb_fifo_inst|j204c_tx_dcfifo132b_m20k|dcfifo_component|auto_generated|*rdptr_g*}] -ke [get_keepers {mac_tx|j204c_tx_base_inst|j204c_tx_gearbox_inst|tx_gb[0].j204c_tx_gb_perlane_inst|tx_gb_fifo_inst|j204c_tx_dcfifo132b_m20k|dcfifo_component| auto_generated|ws_dgrp|dffpipe*|dffe*}] -get_skew_value_from_clock_period src_clock_period -skew_value_multiplier 0,800 ". Penugasan ini akan diabaikan.

    332174

    Filter yang diabaikan di intel_jesd204c.sdc(81): -group tidak dapat dicocokkan dengan clock

    332049

    Create_clock yang Diabaikan di intel_jesd204c.sdc(64): Argumen adalah koleksi kosong

    332054

    Set_clock_groups penugasan diterima tetapi memiliki beberapa masalah di intel_jesd204c.sdc(81): Argumen -group dengan nilai -group {j204c_txphy_clk[0]} -group {j204c_txphy_clk[1]} -group {j204c_txphy_clk[2]} -group {j204c_txphy_clk[3]} -group {j204c_txphy_clk[4]} -group {j204c_txphy_clk[5]} -group {j204c_txphy_clk[6]} -group {j204c_txphy_clk[7]} tidak dapat mencocokkan elemen apa pun dari jenis berikut: (Clk)

    332060

    Node: j204c_txphy_clk ditentukan sebagai clock tetapi ditemukan tanpa penugasan clock terkait.

    Resolusi

    Untuk mengatasi masalah ini dalam Intel® Quartus® perangkat lunak Prime Edisi Pro versi 20.1 atau 20.2 mengganti baris tertentu di file intel_jesd204c.sdc seperti yang ditunjukkan di bawah ini.

     

    hanya dasar simplex rx (... /intel_jesd204c_rx_191/synth/intel_jesd204c.sdc):

    ubah dari:

    77 set overall_clock ""

    78 untuk { set j 0} { $j < 4} { incr j} {

    79 menambahkan overall_clock "-group {j204c_rxphy_clk[$j]} "

    80                           }

    81 set_clock_groups -asynchronous -group {j204c_rx_avs_clk} -group {j204c_rxlink_clk j204c_rxframe_clk} $overall_clock

    Untuk

    77 set overall_clock ""

    78 set clock_grp ""

    79 untuk { set j 0} { $j < 4} { incr j} {

    80 menambahkan overall_clock "-group {j204c_rxphy_clk[$j]} "

    81                           }

    82 set clock_grp_tmp {set_clock_groups -asynchronous -group {j204c_rx_avs_clk} -group {j204c_rxlink_clk j204c_rxframe_clk} }

    83 menambahkan clock_grp $clock_grp_tmp $overall_clock

    84 eval $clock_grp

     

    simplex tx base saja (.../intel_jesd204c_tx_191/synth/intel_jesd204c.sdc):

    ubah dari:

    63 untuk {set i 0} { $i < 4} {incr i} {

    64 eval {create_clock -name "j204c_txphy_clk[$i]" -periode 3,945ns [get_ports j204c_txphy_clk[$i]]}

    65           }

    .

    .

    .

    78 untuk { set j 0 } { $j < 4} { incr j} {

    79 menambahkan overall_clock "-group {j204c_txphy_clk[$j]} "

    80                           }

    81 eval {set_clock_groups -asynchronous -group {j204c_tx_avs_clk} -group {j204c_txlink_clk j204c_txframe_clk} $overall_clock}

    Untuk

    64 eval {create_clock -name "j204c_txphy_clk" -periode 3,945ns [get_ports j204c_txphy_clk]}

    .

    .

    .

    79 set clock_grp ""

    80 tambahan overall_clock {-group {j204c_txphy_clk} }

    81 set clock_grp_tmp {set_clock_groups -asynchronous -group {j204c_tx_avs_clk} -group {j204c_txlink_clk j204c_txframe_clk} }

    82 menambahkan clock_grp $clock_grp_tmp $overall_clock

    83 eval $clock_grp

     

    hanya dasar dupleks (.../intel_jesd204c_tx_191/synth/intel_jesd204c.sdc):

    ubah dari:

            64 create_clock -name "j204c_rxphy_clk[$i]" -periode 3,945ns [get_ports j204c_rxphy_clk[$i]]

    65 create_clock -name "j204c_txphy_clk[$i]" -periode 3,945ns [get_ports j204c_txphy_clk[$i]]

    66                           }

    .

    .

    .

    86 set overall_clock ""

    87 untuk { set j 0 } { $j < 4} { incr j} {

    88 menambahkan overall_clock "-group {j204c_rxphy_clk[$j]} -group {j204c_txphy_clk[$j]} "

    89                           }

    90 set_clock_groups -asynchronous -group {j204c_tx_avs_clk j204c_rx_avs_clk} -group {j204c_txlink_clk j204c_txframe_clk j204c_rxlink_clk j204c_rxframe_clk } $overall_clock

    Untuk

            64 create_clock -name "j204c_rxphy_clk[$i]" -periode 3,945ns [get_ports j204c_rxphy_clk[$i]]

    65                           }

    66 eval create_clock -name "j204c_txphy_clk" -periode 3,945ns [get_ports j204c_txphy_clk]

    .

    .

    .

    87 set overall_clock ""

    88 set clock_grp ""

    89 menambahkan overall_clock {-group {j204c_txphy_clk} }

    90 set clock_grp_tmp {set_clock_groups -asynchronous -group {j204c_tx_avs_clk} -group {j204c_txlink_clk j204c_txframe_clk} }

    91 untuk { set j 0} { $j < 4} { incr j} {

    92 menambahkan overall_clock "-group {j204c_rxphy_clk[$j]} "

    93                               }

    94 set clock_grp_tmp {set_clock_groups -asynchronous -group {j204c_rx_avs_clk} -group {j204c_rxlink_clk j204c_rxframe_clk} }

    95 menambahkan clock_grp $clock_grp_tmp $overall_clock

    96 eval $clock_grp

     

    catatan: semua nilai periode clock bergantung pada nilai yang dipilih pengguna.

     

     

    Masalah ini telah diperbaiki dimulai dengan Intel Quartus perangkat lunak Prime Edisi Pro versi 20.3.

    Produk Terkait

    Artikel ini berlaku untuk 3 produk

    FPGA dan SoC FPGA Intel® Agilex™ Seri F
    Intel® Stratix® 10 MX FPGA
    Intel® Stratix® 10 TX FPGA

    Isi halaman ini adalah kombinasi terjemahan manusia dan komputer dari konten berbahasa Inggris. Konten ini diberikan hanya untuk kenyamanan Anda serta sebagai informasi umum dan tidak bisa dianggap sebagai lengkap atau akurat. Jika terdapat kontradiksi antara versi bahasa Inggris halaman ini dan terjemahannya, versi bahasa Inggris akan didahulukan. Lihat versi bahasa Inggris halaman ini.