ID Artikel: 000078006 Jenis Konten: Informasi & Dokumentasi Produk Terakhir Ditinjau: 27/08/2013

Bagaimana cara menjaga urutan penghitung output PLL atau mencegah penggabungan penghitung output PLL untuk perangkat Stratix V, Arria V, dan Cyclone V dalam perangkat lunak Quartus II versi 12.0 SP2 dan sebelumnya?

Lingkungan

  • Intel® Quartus® II Edisi Berlangganan
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Deskripsi Penugasan Preserve PLL Counter Order tidak didukung dalam perangkat lunak Quartus® II versi 12.0 SP2 dan versi sebelumnya untuk perangkat Stratix® V, Arria® V, dan Cyclone® V.
    Resolusi

    Anda dapat menggunakan batasan lokasi PLLOUTPUTCOUNTER untuk mencegah penghitung output PLL berputar ke lokasi output PLL yang berbeda atau penggabungan otomatis selama kompilasi.

    Berikut adalah contoh batasan lokasi penghitung PLL dalam berkas .qsf:

    set_location_assignment PLLOUTPUTCOUNTER_X98_Y113_N1 -to "pll0:inst|pll0_0002:pll0_inst|altera_pll:altera_pll_i|outclk[0]"

    set_location_assignment PLLOUTPUTCOUNTER_X98_Y115_N1 -to "pll0:inst|pll0_0002:pll0_inst|altera_pll:altera_pll_i|outclk[1]"

    set_location_assignment PLLOUTPUTCOUNTER_X98_Y114_N1 -to "pll0:inst|pll0_0002:pll0_inst|altera_pll:altera_pll_i|outclk[2]"

    Perlu diperhatikan, perangkat lunak Quartus II akan menempatkan penghitung output PLL untuk memastikan kemampuan routabilitas desain yang optimal.  Anda mungkin mengalami kesalahan yang lebih bugar jika Anda menempatkan penghitung di lokasi yang tidak dapat mendukung kipas yang diperlukan.  Untuk menggunakan penempatan counter yang optimal, Anda harus mengompulasikan proyek terlebih dahulu dan melihat laporan Ringkasan Penggunaan PLL untuk mendapatkan lokasi penghitung PLL.  Untuk mencegah penghitung digabungkan otomatis, berikan setiap penghitung pergeseran fase unik. Setelah Anda menerapkan penetapan lokasi penghitung, Anda kemudian dapat memulihkan perubahan fase yang diinginkan dalam megafungsi PLL Altera.

    Fitur untuk mencegah rotasi otomatis dan penggabungan otomatis penghitung PLL telah diterapkan di perangkat lunak Quartus II versi 12.1..

    Produk Terkait

    Artikel ini berlaku untuk 14 produk

    Cyclone® V ST SoC FPGA
    Arria® V ST SoC FPGA
    Arria® V GX FPGA
    Arria® V GT FPGA
    Cyclone® V SX SoC FPGA
    Cyclone® V GT FPGA
    Stratix® V GX FPGA
    Stratix® V GT FPGA
    Cyclone® V GX FPGA
    Stratix® V GS FPGA
    Arria® V SX SoC FPGA
    Cyclone® V SE SoC FPGA
    Cyclone® V E FPGA
    Stratix® V E FPGA

    Isi halaman ini adalah kombinasi terjemahan manusia dan komputer dari konten berbahasa Inggris. Konten ini diberikan hanya untuk kenyamanan Anda serta sebagai informasi umum dan tidak bisa dianggap sebagai lengkap atau akurat. Jika terdapat kontradiksi antara versi bahasa Inggris halaman ini dan terjemahannya, versi bahasa Inggris akan didahulukan. Lihat versi bahasa Inggris halaman ini.