ID Artikel: 000078329 Jenis Konten: Pemecahan Masalah Terakhir Ditinjau: 11/09/2012

Mengapa Encounter Conformal melaporkan ketidaksesuaian selama verifikasi desain formal termasuk megafungsi lpm_counter?

Lingkungan

  • Verifikasi
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Deskripsi

    Kesalahan verifikasi formal terjadi untuk desain termasuk megafungsi lpm_counter ketika dua atau lebih sinyal kontrol asynchronous dari megafungsi lpm_counter (aset, aclr, aload) digunakan.

    Untuk menghilangkan kesesatan ini, gunakan properti kotak hitam pada pembungkus megafungsi lpm_counter dalam perangkat lunak Quartus® II sebelum mengompirasi desain.

    Untuk informasi lebih lanjut tentang verifikasi formal menggunakan Conformal, lihat bab Cadence Encounter Conformal Support (PDF) dalam buku Panduan Quartus II volume 3.

    Isi halaman ini adalah kombinasi terjemahan manusia dan komputer dari konten berbahasa Inggris. Konten ini diberikan hanya untuk kenyamanan Anda serta sebagai informasi umum dan tidak bisa dianggap sebagai lengkap atau akurat. Jika terdapat kontradiksi antara versi bahasa Inggris halaman ini dan terjemahannya, versi bahasa Inggris akan didahulukan. Lihat versi bahasa Inggris halaman ini.