ID Artikel: 000078921 Jenis Konten: Pemecahan Masalah Terakhir Ditinjau: 27/08/2013

Megafungsi ALTLVDS_RX dalam perangkat lunak Quartus® II versi 10.0 tidak membuat jumlah port output yang diperlukan untuk rx_dpa_locked. Port ini harus memiliki lebar yang sama dengan jumlah kanal.

Lingkungan

  • Intel® Quartus® II Edisi Berlangganan
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Deskripsi

    Megafungsi ALTLVDS_RX dalam perangkat lunak Quartus® II versi 10.0 tidak membuat jumlah port output yang diperlukan untuk rx_dpa_locked.  Port ini harus memiliki lebar yang sama dengan jumlah kanal.

    Untuk mengatasi masalah ini, buka berkas variasi HDL dari megafungsi ALTLVDS_RX dalam desain Anda dan edit secara manual lebar port rx_dpa_locked dan bus sub_wire yang terhubung ke rx_dpa_locked.

    Lebar port harus mengikuti format [number_of_channels-1:0].

     

    Pesan Peringatan:

    Peringatan (12010): Port "rx_dpa_locked" pada instantiasi entitas "ALTLVDS_RX_component" terhubung ke sinyal lebar 1. Lebar formal sinyal dalam modul <number_of_channels>.  Bit tambahan akan dibiarkan menjuntai tanpa logika kipas.

    Resolusi Masalah ini telah diperbaiki pada perangkat lunak Quartus II versi 10.1.

    Isi halaman ini adalah kombinasi terjemahan manusia dan komputer dari konten berbahasa Inggris. Konten ini diberikan hanya untuk kenyamanan Anda serta sebagai informasi umum dan tidak bisa dianggap sebagai lengkap atau akurat. Jika terdapat kontradiksi antara versi bahasa Inggris halaman ini dan terjemahannya, versi bahasa Inggris akan didahulukan. Lihat versi bahasa Inggris halaman ini.