ID Artikel: 000079342 Jenis Konten: Pemecahan Masalah Terakhir Ditinjau: 16/11/2011

Quartus II Fitter Melaporkan Galat Saat Clock Yang Dihasilkan PLL sebesar 67,5 MHz Digunakan pada Perangkat GX Stratix

Lingkungan

  • Intel® Quartus® II Edisi Berlangganan
  • PLL
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Masalah Kritis

    Deskripsi

    Quartus II Fitter melaporkan galat saat Anda menggunakan PLL-generated input clock frekuensi 67,5 MHz dalam penargetan SDI-SD MegaCore Stratix perangkat GX.

    Resolusi

    Atur clock input ke frekuensi 29,7 MHz sehingga PLL menghasilkan frekuensi clock output ke 74,25 MHz.

    Produk Terkait

    Artikel ini berlaku untuk 1 produk

    Stratix® GX FPGA

    Isi halaman ini adalah kombinasi terjemahan manusia dan komputer dari konten berbahasa Inggris. Konten ini diberikan hanya untuk kenyamanan Anda serta sebagai informasi umum dan tidak bisa dianggap sebagai lengkap atau akurat. Jika terdapat kontradiksi antara versi bahasa Inggris halaman ini dan terjemahannya, versi bahasa Inggris akan didahulukan. Lihat versi bahasa Inggris halaman ini.