ID Artikel: 000083915 Jenis Konten: Pemecahan Masalah Terakhir Ditinjau: 30/11/2015

Kendala Pengaturan Waktu Jalur Multi-Siklus yang Hilang pada File SDC Inti RapidIO IP Menyebabkan Pelanggaran Waktu

Lingkungan

  • Intel® Quartus® II Edisi Berlangganan
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Masalah Kritis

    Deskripsi

    Ketika Anda mengkompirasi inti RapidIO IP untuk Arria II GZ atau perangkat Stratix IV, Berkas Synopsys Design Constraints (.sdc) yang dihasilkan dengan IP inti menyebabkan pelanggaran waktu. Masalah ini memengaruhi semua inti RapidIO IP dalam mode 1x beroperasi pada 5 Gbaud dan menargetkan Arria II GZ atau perangkat Stratix IV.

    Resolusi

    Untuk memperbaiki masalah ini, modifikasi batasan dalam berkas SDC secara manual.

    Jika inti IP Anda adalah variasi 1x pada 5 Gbaud yang menargetkan Arria II GZ atau rangkaian perangkat IV Stratix:

    • Tambahkan multi-siklus batasan jalur set_multicycle_path -end -setup -from [get_cells -compatibility_mode *riophy_dcore|riophy1|init_sm|link_drvr_oe] -to [get_cells -compatibility_mode *riophy_xcvr|riophy_gxb*|transmit_pcs0] 2
    • Tambahkan multi-siklus batasan jalur set_multicycle_path -end -hold -from [get_cells -compatibility_mode *riophy_dcore|riophy1|init_sm|link_drvr_oe] -to [get_cells -compatibility_mode *riophy_xcvr|riophy_gxb*|transmit_pcs0] 1

    Perubahan ini diperlukan tetapi mungkin tidak cukup untuk menutup waktu untuk Inti IP RapidIO. Anda mungkin perlu menerapkan strategi tambahan. Misalnya, Anda mungkin perlu melakukan seed sweeping, secara manual mempromosikan clock divide-by-two dan masing-masing sumber sebagai clock global, menonaktifkan divide-by-two clocks sebagai global clock untuk penundaan perutean yang lebih singkat, atau lakukan beberapa kombinasi dari strategi ini.

    Masalah ini diperbaiki pada inti RapidIO IP versi 15.1.

    Produk Terkait

    Artikel ini berlaku untuk 2 produk

    FPGA Arria® II
    Stratix® IV FPGA

    Isi halaman ini adalah kombinasi terjemahan manusia dan komputer dari konten berbahasa Inggris. Konten ini diberikan hanya untuk kenyamanan Anda serta sebagai informasi umum dan tidak bisa dianggap sebagai lengkap atau akurat. Jika terdapat kontradiksi antara versi bahasa Inggris halaman ini dan terjemahannya, versi bahasa Inggris akan didahulukan. Lihat versi bahasa Inggris halaman ini.