Untuk mengkompilasi proyek Anda dengan SignalTap™ II Logic Analyzer dari command line, jalankan perintah berikut terlebih dahulu:
quartus_stp --stp_file .stp --enable
Perintah ini akan mengambil informasi dari file SignalTap II Anda (.stp) dan menambahkannya ke File Pengaturan Quartus II (.qsf). Kemudian kompilasi proyek Anda sebagai normal.
Misalnya, jika Anda menjalankan skrip yang memanggil setiap proses, tambahkan quartus_stp sebagai baris pertama
quartus_stp --stp_file .stp --enable
quartus_map
quartus_cdb --merge
quartus_fit
quartus_sta
quaruts_asm
Jika Anda mengkompirasi proyek Anda menggunakan metode satu baris --flow, (quartus_sh --flow compile ), tambahkan panggilan quartus_stp sebelum itu.
Untuk menghapus penganalisis logika SignalTap II dari proyek Anda, gunakan switch --disable.
quartus_stp --stp_file .stp --disable