VHDL: Bus Tri-State

author-image

Oleh

Contoh ini menerapkan 8 buffer tri-state dengan menggunakan klausul WHEN-ELSE dalam pernyataan Badan Arsitektur. Ini tidak memiliki jalur umpan balik, dan karena itu pin output my_out ditetapkan sebagai OUT, alih-alih INOUT.

Contoh ini mirip dengan VHDL: contoh Bus Dwiarah, kecuali bahwa ia tidak menggunakan garis umpan balik.

Untuk informasi lebih lanjut tentang penggunaan contoh ini dalam proyek Anda, kunjungi:


prebus.vhd

IEEE PUSTAKA;
    GUNAKAN ieee.std_logic_1164.ALL;

PORT PREBUS ENTITAS  (my_in: IN STD_LOGIC_VECTOR(7 DOWNTO 0);
        sel    : IN STD_LOGIC;
        my_out: STD_LOGIC_VECTOR OUT(7 DOWNTO 0));
Prebus END;

MAXPLD ARSITEKTUR PREBUS
DIMULAI
    my_out <= "ZZZZZZZZ"
    KETIKA (sel = '1')
    LAIN my_in;
Maxpld AKHIR;

Isi halaman ini adalah kombinasi terjemahan manusia dan komputer dari konten berbahasa Inggris. Konten ini diberikan hanya untuk kenyamanan Anda serta sebagai informasi umum dan tidak bisa dianggap sebagai lengkap atau akurat. Jika terdapat kontradiksi antara versi bahasa Inggris halaman ini dan terjemahannya, versi bahasa Inggris akan didahulukan. Lihat versi bahasa Inggris halaman ini.