HDL Verilog: 8x64 Shift Register dengan Taps

author-image

Oleh

Contoh ini menguraikan register shift panjang 8-bit lebar 64-bit dengan keran yang sama dengan spasi dalam HDL Verilog. Alat sintesis mendeteksi kelompok register shift dan infer altshift_taps megafungsi tergantung pada arsitektur perangkat target.

Gambar 1. Diagram tingkat atas register 8x64 shift.

Unduh file yang digunakan dalam contoh ini:

Penggunaan desain ini diatur oleh, dan tunduk pada, syarat dan ketentuan dari Perjanjian Lisensi Contoh Desain Intel®.

Tabel 1 mencantumkan port dan memberikan deskripsi untuk masing-masing port.

Isi halaman ini adalah kombinasi terjemahan manusia dan komputer dari konten berbahasa Inggris. Konten ini diberikan hanya untuk kenyamanan Anda serta sebagai informasi umum dan tidak bisa dianggap sebagai lengkap atau akurat. Jika terdapat kontradiksi antara versi bahasa Inggris halaman ini dan terjemahannya, versi bahasa Inggris akan didahulukan. Lihat versi bahasa Inggris halaman ini.