Timing Analyzer mendukung antarmuka program aplikasi (API) koleksi yang menyediakan akses mudah ke port, pin, sel, atau node dalam desain. Anda dapat menggunakan API pengumpulan dengan batasan yang valid atau perintah bahasa perintah alat (Tcl) yang ditentukan dalam Penganalisis Waktu.
Tabel 1 menguraikan perintah pengumpulan yang didukung oleh Penganalisis Waktu.
Untuk informasi lebih lanjut tentang koleksi, lihat bab Timing Analyzer atau Panduan Referensi API SDC & Timing Analyzer (PDF).
Tabel 1. Perintah Koleksi
Perintah |
Deskripsi |
---|---|
all_clocks |
Mengembalikan koleksi semua clock dalam desain. |
all_inputs |
Mengembalikan kumpulan port input dalam desain. |
all_outputs |
Mengembalikan koleksi semua register dalam desain. |
get_cells |
Mengembalikan kumpulan sel dalam desain. Semua nama sel dalam koleksi cocok dengan pola yang ditentukan. Wildcard dapat digunakan untuk memilih beberapa sel secara bersamaan. |
get_clocks |
Mengembalikan koleksi clock dalam desain. Ketika digunakan sebagai argumen ke perintah lain, seperti -from atau -to of set_multicycle_path, setiap node di clock mewakili semua node yang di-clock oleh clock dalam koleksi. Bawaan menggunakan node tertentu (bahkan jika itu adalah clock) sebagai target dari perintah. |
get_keepers |
Mengembalikan koleksi node keeper (node non-kombinasi) dalam desain. |
get_nets |
Mengembalikan koleksi jaring dalam desain. Semua nama bersih dalam koleksi cocok dengan pola yang ditentukan. Anda dapat menggunakan wildcard untuk memilih beberapa jaring secara bersamaan. |
get_nodes |
Mengembalikan koleksi node dalam desain. |
get_pins |
Mengembalikan kumpulan pin dalam desain. Semua nama pin dalam koleksi cocok dengan pola yang ditentukan. Anda dapat menggunakan wildcard untuk memilih beberapa pin secara bersamaan. |
get_ports |
Mengembalikan kumpulan port (input dan output desain) dalam desain. |
get_registers |
Mengembalikan kumpulan register dalam desain. |
Contoh berikut menunjukkan berbagai penggunaan perintah create_clock dan create_generated_clock dengan perintah koleksi.
# Buat 10 ns sederhana dengan clock dengan siklus tugas 60% create_clock -periode 10 -waveform {0 6} -name clk [get_ports clk] # Multicycle berikut berlaku untuk semua jalur yang berakhir di register # clocked by clk set_multicycle_path -to [get_clocks clk] 2