Perintah Pengumpulan Penganalisis Waktu

author-image

Oleh

Timing Analyzer mendukung antarmuka program aplikasi (API) koleksi yang menyediakan akses mudah ke port, pin, sel, atau node dalam desain. Anda dapat menggunakan API pengumpulan dengan batasan yang valid atau perintah bahasa perintah alat (Tcl) yang ditentukan dalam Penganalisis Waktu.

Tabel 1 menguraikan perintah pengumpulan yang didukung oleh Penganalisis Waktu.

Untuk informasi lebih lanjut tentang koleksi, lihat bab Timing Analyzer atau Panduan Referensi API SDC & Timing Analyzer (PDF).

Contoh berikut menunjukkan berbagai penggunaan perintah create_clock dan create_generated_clock dengan perintah koleksi.

# Buat 10 ns sederhana dengan clock dengan siklus tugas 60%
create_clock -periode 10 -waveform {0 6} -name clk [get_ports clk]
# Multicycle berikut berlaku untuk semua jalur yang berakhir di register #
clocked by clk
set_multicycle_path -to [get_clocks clk] 2

Isi halaman ini adalah kombinasi terjemahan manusia dan komputer dari konten berbahasa Inggris. Konten ini diberikan hanya untuk kenyamanan Anda serta sebagai informasi umum dan tidak bisa dianggap sebagai lengkap atau akurat. Jika terdapat kontradiksi antara versi bahasa Inggris halaman ini dan terjemahannya, versi bahasa Inggris akan didahulukan. Lihat versi bahasa Inggris halaman ini.