VHDL: ROM Port Tunggal

author-image

Oleh

Contoh ini menguraikan desain ROM port tunggal 256-bit x 8-bit dengan satu port alamat untuk operasi baca di VHDL. Alat sintesis dapat mendeteksi desain ROM dalam kode HDL dan secara otomatis menyimpulkan altsyncram atau lpm_rom megafungsi tergantung pada arsitektur perangkat target.

Gambar 1. Diagram port tunggal dari tingkat atas

Unduh file yang digunakan dalam contoh ini:

Penggunaan desain ini diatur oleh, dan tunduk pada, syarat dan ketentuan dari Perjanjian Lisensi Contoh Desain Intel®.

Isi halaman ini adalah kombinasi terjemahan manusia dan komputer dari konten berbahasa Inggris. Konten ini diberikan hanya untuk kenyamanan Anda serta sebagai informasi umum dan tidak bisa dianggap sebagai lengkap atau akurat. Jika terdapat kontradiksi antara versi bahasa Inggris halaman ini dan terjemahannya, versi bahasa Inggris akan didahulukan. Lihat versi bahasa Inggris halaman ini.