ID Artikel: 000074130 Jenis Konten: Instal & Pengaturan Terakhir Ditinjau: 01/04/2019

Mengapa Intel® FPGA Download Cable II tidak berfungsi di perangkat lunak Intel® Quartus® Prime Edisi Pro versi 19.1?

Lingkungan

  • Intel® Quartus® Prime Edisi Pro
  • Konfigurasi dan Pemrograman
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Masalah Kritis

    Deskripsi

    Ketika menggunakan Intel® Quartus® Prime Pro Edition Software versi 19.1 dengan Intel® FPGA Download Cable II (sebelumnya disebut sebagai kabel unduhan USB Blaster II) atau implementasi on-board dari solusi IP Intel® FPGA Download Cable II (sebelumnya disebut sebagai solusi USB Blaster II on-board), dapat terjadi galat penumpukan perangkat USB saat memasang Intel® FPGA Download Cable II ke komputer yang menghosting Intel® Quartus® Prime Edisi Pro Perangkat lunak.

    Masalah ini berdampak pada implementasi Intel® FPGA Download Cable II on-board menggunakan Intel® MAX® 10, seperti yang disertakan dalam Intel® Stratix® 10 Development Kit.

     
    Berikut adalah contoh keberhasilan dan enumerasi gagal seperti yang terlihat pada manajer perangkat Windows* 10:

    Untuk sistem Linux Anda dapat memeriksa status enumerasi menggunakan perintah 'dmesg':

    Status enumerasi yang berhasil di Linux akan menampilkan pesan di bawah ini:

    perangkat USB berkecepatan tinggi baru nomor 26 menggunakan xhci_hcd

    Perangkat USB baru ditemukan, idVendor=09fb, idProduct=6010

    String perangkat USB baru: Mfr=1, Produk=2, SerialNumber=3

    Produk: USB-BlasterII

     

    Status enumerasi yang gagal di Linux akan menampilkan pesan di bawah ini:

    deskriptor perangkat baca/64, galat -110

    Pengaturan ERROR: perintah konteks pengaturan untuk slot

    tidak dapat menghitung perangkat USB pada port

    deskriptor perangkat baca/8, galat -110

    Resolusi

    Untuk mengatasi masalah ini, gunakan Intel® Quartus® Perangkat Lunak Edisi Prime Pro versi 19.1 dan unduh serta instal patch 0.03 dari tautan di bawah ini.

     

    Anda harus menginstal perangkat lunak Intel® Quartus® Prime Edisi Pro versi 19.1 sebelum menginstal patch ini:

    Unduh versi 19.1 patch 0.03 untuk Linux (.run)

    Unduh patch 0.03 versi 19.1 untuk Window (.run)

    Unduh Readme untuk patch 0.03 versi 19.1 (.txt)

     

    Anda harus menginstal Standalone Intel® Quartus® Prime Programmer Software versi 19.1 sebelum menginstal patch ini:

    Unduh versi 19.1 patch 0.03p untuk Linux (.run)

    Unduh versi 19.1 patch 0.03p untuk Window (.run)

    Unduh Readme untuk patch 19.1 versi 0.03p (.txt)

     

    Masalah ini telah diperbaiki dimulai dengan Intel® Quartus® Perangkat Lunak Prime Edisi Pro versi 19.2.

    Produk Terkait

    Artikel ini berlaku untuk 1 produk

    Perangkat yang Dapat Diprogram Intel®

    Isi halaman ini adalah kombinasi terjemahan manusia dan komputer dari konten berbahasa Inggris. Konten ini diberikan hanya untuk kenyamanan Anda serta sebagai informasi umum dan tidak bisa dianggap sebagai lengkap atau akurat. Jika terdapat kontradiksi antara versi bahasa Inggris halaman ini dan terjemahannya, versi bahasa Inggris akan didahulukan. Lihat versi bahasa Inggris halaman ini.