ID Artikel: 000074135 Jenis Konten: Pemecahan Masalah Terakhir Ditinjau: 30/11/2015

Uji Pelanggan Ethernet IP Core Cyclone IV VHDL Tiga Kecepatan Gagal Dijalankan

Lingkungan

  • Intel® Quartus® Prime Edisi Pro
  • Ethernet
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Masalah Kritis

    Deskripsi

    Penargetan testbench pelanggan VHDL inti IP Triple Speed Ethernet (TSE) Cyclone Perangkat IV untuk pengaturan berikut mungkin gagal dijalankan:

    Variasi inti: 10/100/1000 Mb Ethernet MAC dengan 1000BASE-X/SGMII PCS

    Gunakan FIFO internal: Tidak

    Jumlah port: 4

    Jenis transiver: GXB

    Mengekspor sinyal daya transiver: Ya

    Resolusi

    Lakukan langkah-langkah ini untuk mengubah berkas testbench:

    1. Buka file testbench tingkat atas, _tb.vhd.

    2. Cari generate-block dengan nama "FourPort_DUT_without_FIFO".

    3. Tambahkan baris berikut dalam generate-block:

    gxb_pwrdn_in_0 <= gxb_pwrdn_in; gxb_pwrdn_in_1 <= gxb_pwrdn_in; gxb_pwrdn_in_2 <= gxb_pwrdn_in; gxb_pwrdn_in_3 <= gxb_pwrdn_in;

    Masalah ini akan diperbaiki dalam rilis mendatang.

    Produk Terkait

    Artikel ini berlaku untuk 1 produk

    Perangkat yang Dapat Diprogram Intel®

    Isi halaman ini adalah kombinasi terjemahan manusia dan komputer dari konten berbahasa Inggris. Konten ini diberikan hanya untuk kenyamanan Anda serta sebagai informasi umum dan tidak bisa dianggap sebagai lengkap atau akurat. Jika terdapat kontradiksi antara versi bahasa Inggris halaman ini dan terjemahannya, versi bahasa Inggris akan didahulukan. Lihat versi bahasa Inggris halaman ini.