ID Artikel: 000074658 Jenis Konten: Informasi & Dokumentasi Produk Terakhir Ditinjau: 19/03/2013

Bagaimana cara menentukan peralihan fase dan siklus tugas untuk clock yang diperlukan jika saya menggunakan ALTLVDS_RX dan ALTLVDS_TX dalam mode PLL eksternal?

Lingkungan

BUILT IN - ARTICLE INTRO SECOND COMPONENT
Deskripsi

Anda dapat menentukan peralihan fase dan siklus tugas untuk clock yang diperlukan saat menggunakan ALTLVDS_RX dan ALTLVDS_TX dalam mode PLL eksternal dengan terlebih dahulu mengkompirasi desain contoh dengan ALTLVDS_RX atau ALTLVDS_TX menggunakan PLL internal. Gunakan pengaturan yang digunakan perangkat lunak Quartus® II untuk mengonfigurasi PLL internal dalam contoh desain sebagai pengaturan yang Anda masukkan di PLL eksternal.

Untuk memeriksa pengaturan PLL pada laporan Fitter, perluas bagian Sumber Daya , kemudian perluas Penggunaan PLL. Laporan ini menunjukkan siklus tugas, pergeseran fase, dan frekuensi clock untuk setiap clock yang diperlukan untuk antarmuka ALTLVDS_RX dan ALTLVDS_TX. Anda kemudian dapat menggunakan parameter ini untuk pengaturan PLL eksternal dalam desain Anda.

Produk Terkait

Artikel ini berlaku untuk 41 produk

Cyclone® FPGA
Stratix® V GX FPGA
Stratix® V GT FPGA
Stratix® V GS FPGA
Cyclone® V FPGA dan SoC FPGA
FPGA Cyclone® IV
Stratix® V FPGA
Stratix® IV FPGA
Stratix® III FPGA
Stratix® II FPGA
Stratix® FPGA
Arria® V FPGA dan SoC FPGA
FPGA Arria® II
Arria® GX FPGA
Perangkat ASIC HardCopy™ IV
Perangkat ASIC HardCopy™ III
Cyclone® V SX SoC FPGA
Cyclone® V ST SoC FPGA
Cyclone® V SE SoC FPGA
Cyclone® V GX FPGA
Cyclone® V GT FPGA
Perangkat ASIC HardCopy™ IV GX
Stratix® V E FPGA
Stratix® IV GX FPGA
Stratix® IV GT FPGA
Stratix® IV E FPGA
Stratix® II GX FPGA
Stratix® GX FPGA
Cyclone® V E FPGA
Cyclone® IV GX FPGA
Arria® V GX FPGA
Cyclone® III FPGA
Cyclone® II FPGA
Cyclone® IV E FPGA
Arria® V SX SoC FPGA
Arria® V ST SoC FPGA
Cyclone® III LS FPGA
Arria® V GZ FPGA
Arria® V GT FPGA
Arria® II GZ FPGA
Arria® II GX FPGA

Isi halaman ini adalah kombinasi terjemahan manusia dan komputer dari konten berbahasa Inggris. Konten ini diberikan hanya untuk kenyamanan Anda serta sebagai informasi umum dan tidak bisa dianggap sebagai lengkap atau akurat. Jika terdapat kontradiksi antara versi bahasa Inggris halaman ini dan terjemahannya, versi bahasa Inggris akan didahulukan. Lihat versi bahasa Inggris halaman ini.