ID Artikel: 000075157 Jenis Konten: Pesan Kesalahan Terakhir Ditinjau: 11/09/2012

Galat: Pin tidak kompatibel dengan bank I/O . Pin menggunakan standar I/O <i standard="">, yang memiliki persyaratan VCCIO yang tidak kompatibel dengan pengaturan VCCIO bank tersebut atau pin lainnya yang menggunakan VCCIO <voltage>.</volta...

Lingkungan

  • I O
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Deskripsi

    Perangkat lunak Quartus® II versi 7.1 SP1 atau 7.2 mungkin salah menghasilkan pesan ini ketika Anda melakukan analisis penugasan I/O atau menggunakan fitur Pemeriksaan I/O Langsung, jika Anda telah menetapkan input clock diferensial ke bank kolom I/O perangkat Stratix® III.

    Pada perangkat Stratix III, setiap input clock diferensial pada bank I/O kolom tidak tergantung pada tegangan VCCIO; catu daya untuk buffer input clock diferensial VCC_CLKIN. Sebagai contoh, Anda dapat menetapkan input clock LVDS ke bank I/O terlepas dari pengaturan VCCIO di bank tersebut.

    Ada patch yang tersedia untuk memperbaiki masalah ini. Menggunakan mySupport untuk meminta nomor patch 1.32 untuk perangkat lunak Quartus II versi 7.1 SP1 pada Windows atau Linux, atau nomor patch 0.11 untuk perangkat lunak Quartus II versi 7.2 pada Windows. Pesan yang salah ini juga akan diperbaiki dalam versi perangkat lunak Quartus II di masa mendatang.

    Produk Terkait

    Artikel ini berlaku untuk 1 produk

    Stratix® III FPGA

    Isi halaman ini adalah kombinasi terjemahan manusia dan komputer dari konten berbahasa Inggris. Konten ini diberikan hanya untuk kenyamanan Anda serta sebagai informasi umum dan tidak bisa dianggap sebagai lengkap atau akurat. Jika terdapat kontradiksi antara versi bahasa Inggris halaman ini dan terjemahannya, versi bahasa Inggris akan didahulukan. Lihat versi bahasa Inggris halaman ini.