ID Artikel: 000075507 Jenis Konten: Pemecahan Masalah Terakhir Ditinjau: 20/05/2015

Testbench CAUI-4 Latensi Rendah 40-100 GbE Tidak Dapat Simulasi dengan Sukses dalam Simulator ModelSim

Lingkungan

  • Intel® Quartus® II Edisi Berlangganan
  • Simulasi
  • Ethernet
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Masalah Kritis

    Deskripsi

    Testbench yang disediakan Altera dihasilkan dengan Latensi Rendah Variasi CAUI-4 inti IP 40-100 GbE tidak dapat disimulasikan dengan sukses di simulator ModelSim.

    Resolusi

    Masalah ini tidak memiliki solusi umum yang diuji untuk semua yang terdampak Versi inti IP. Namun, solusi berikut tersedia untuk inti IP versi 15.0:

    1. Hasilkan testbench untuk CAUI-4 IP Anda variasi inti. Instruksi tersedia dalam kondisi Rendah Pengguna Fungsi 40- dan 100-Gbps Ethernet MAC dan PHY MegaCore Panduan.
    2. Buka skrip run_vsim.do di lokasi ditentukan dalam panduan pengguna. Skrip ini mencakup pernyataan yang mengidentifikasi jalur ke berkas msim_setup.tcl .
    3. Buka berkas msim_setup.tcl di editor teks.
    4. elab Dalam dan elab_debug tugas, ganti teks eval vsim -t ps dengan teks eval vsim -t 100fs.
    5. Jalankan testbench sesuai dengan instruksi di panduan pengguna. Testbench harus mensimulasikan dengan sukses.

    Masalah ini akan diperbaiki dalam versi Latensi Rendah di masa mendatang Fungsi 40- dan 100-Gbps Ethernet MAC dan PHY MegaCore.

    Produk Terkait

    Artikel ini berlaku untuk 1 produk

    Perangkat yang Dapat Diprogram Intel®

    Isi halaman ini adalah kombinasi terjemahan manusia dan komputer dari konten berbahasa Inggris. Konten ini diberikan hanya untuk kenyamanan Anda serta sebagai informasi umum dan tidak bisa dianggap sebagai lengkap atau akurat. Jika terdapat kontradiksi antara versi bahasa Inggris halaman ini dan terjemahannya, versi bahasa Inggris akan didahulukan. Lihat versi bahasa Inggris halaman ini.