ID Artikel: 000075609 Jenis Konten: Informasi & Dokumentasi Produk Terakhir Ditinjau: 22/07/2013

Bagaimana cara menyimpulkan memori yang sangat kecil saat menggunakan Sintesis Terintegrasi Quartus II?

Lingkungan

BUILT IN - ARTICLE INTRO SECOND COMPONENT
Deskripsi

Saat menjalankan sintesis Quartus® II, memori inferensi mungkin tidak dipetakan ke struktur memori blok teknologi jika ukurannya sangat kecil. Batasan ini dijelaskan dalam topik RAM Otomatis ke Konversi Sel Logika dalam bab Sintesis Terintegrasi Quartus II (PDF) dari Buku Panduan Quartus II.

Resolusi

Untuk menginferfer memori blok untuk memori yang kurang dari batas ini, lakukan salah satu opsi berikut:

  • Sertakan baris berikut dalam file pengaturan quartus II proyek Anda (.qsf):
    set_global_assignment -nama ALLOW_ANY_ROM_SIZE_FOR_RECOGNITION AKTIF
  • Dalam GUI Quartus II, pada menu Tugas, klik Pengaturan untuk membuka kotak dialog Pengaturan, pilih kategori Analisis & Pengaturan Sintesis, lalu klik Pengaturan Lainnya. Aktifkan pengaturan Izinkan Ukuran ROM Apa Pun untuk Pengenalan.

Produk Terkait

Artikel ini berlaku untuk 1 produk

Perangkat yang Dapat Diprogram Intel®

Isi halaman ini adalah kombinasi terjemahan manusia dan komputer dari konten berbahasa Inggris. Konten ini diberikan hanya untuk kenyamanan Anda serta sebagai informasi umum dan tidak bisa dianggap sebagai lengkap atau akurat. Jika terdapat kontradiksi antara versi bahasa Inggris halaman ini dan terjemahannya, versi bahasa Inggris akan didahulukan. Lihat versi bahasa Inggris halaman ini.