ID Artikel: 000075786 Jenis Konten: Pemecahan Masalah Terakhir Ditinjau: 22/04/2013

** Galat: <quartus directory="" ii="" installation="">/eda/sim_lib/altera_lnsim.sv(1158): (vlog-2244) Variabel 'mega' secara implisit statis. Anda harus secara eksplisit menyatakannya sebagai statis atau otomatis.</quartus>

Lingkungan

  • Intel® Quartus® II Edisi Berlangganan
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Deskripsi Anda mungkin melihat galat ini saat mengkompilasi pustaka perangkat lunak Quartus® II versi 12.1 SP1 menggunakan perangkat lunak ModelSim versi 10.2. Perhatikan bahwa perangkat lunak Quartus II versi 12.1 SP1 secara resmi mendukung perangkat lunak ModelSim versi 10.1b.
    Resolusi

    Untuk mengatasi masalah ini, kompilasi menggunakan versi perangkat lunak ModelSim yang didukung.

    Atau, untuk simulasi HDL Verilog, edit file /eda/sim_lib/altera_lnsim.sv. Tambahkan automatic ke baris 1150 dan baris 10397

    line 1158:
                automatic integer mega = 1000000;
    line 10397:
                automatic real factor = 10**9;

    Untuk simulasi VHDL, tekan pesan galat dengan perintah berikut:

    vlog -sv -suppress 2244 altera_lnsim_for_vhdl.sv

    Produk Terkait

    Artikel ini berlaku untuk 1 produk

    Perangkat yang Dapat Diprogram Intel®

    Isi halaman ini adalah kombinasi terjemahan manusia dan komputer dari konten berbahasa Inggris. Konten ini diberikan hanya untuk kenyamanan Anda serta sebagai informasi umum dan tidak bisa dianggap sebagai lengkap atau akurat. Jika terdapat kontradiksi antara versi bahasa Inggris halaman ini dan terjemahannya, versi bahasa Inggris akan didahulukan. Lihat versi bahasa Inggris halaman ini.