ID Artikel: 000075931 Jenis Konten: Pemecahan Masalah Terakhir Ditinjau: 11/09/2012

Mengapa saya melihat Pelanggaran Pulsewidth Minimum pada megafungsi ALTTEMP_SENSE?

Lingkungan

  • PLL
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Deskripsi

    Anda mungkin melihat galat ini di perangkat lunak Quartus® II jika Anda menggunakan PLL untuk memperoleh frekuensi clock input ke fungsi mega ALTTEMP_SENSE.

    Frekuensi input yang diterapkan ke sensor suhu internal harus kurang dari atau sama dengan 1,0 MHz. Pembagi clock tersedia dalam fungsi mega ALTTEMP_SENSE untuk mengurangi clock input Anda agar memenuhi persyaratan ini. Untuk detail lebih lanjut, lihat Panduan Pengguna Megafungsi Sensor Suhu (ALTTEMP_SENSE) (PDF).

    Sifat pembulatan dari PLL mengalikan dan membagi parameter dapat mengakibatkan clock output yang sebenarnya tidak tepat. Jika tidak menggunakan pembagi clock, frekuensi clock yang dihasilkan bisa lebih tinggi dari 1,0 MHz yang diperlukan. Jika menggunakan pembagi clock, frekuensi clock yang dihasilkan bisa lebih tinggi dari 40,0 MHz atau 80,0 MHz, tergantung pada pengaturan yang digunakan untuk pembagi clock.

    Resolusi

    Untuk menghindari peringatan ini, revisi parameter PLL untuk membuat frekuensi clock output bulat kurang dari atau sama dengan 1,0 MHz jika tidak menggunakan pembagi clock. Jika menggunakan pembagi clock, revisi parameter PLL untuk membuat frekuensi clock output bulat kurang dari atau sama dengan 40,0 MHz atau 80,0 MHz, tergantung pada pengaturan yang digunakan untuk pembagi clock.

    Produk Terkait

    Artikel ini berlaku untuk 11 produk

    Arria® V ST SoC FPGA
    Arria® V GT FPGA
    Arria® V GX FPGA
    Stratix® V GT FPGA
    Arria® V SX SoC FPGA
    Stratix® V GS FPGA
    Stratix® V E FPGA
    Stratix® V GX FPGA
    Stratix® IV GT FPGA
    Stratix® IV E FPGA
    Stratix® IV GX FPGA

    Isi halaman ini adalah kombinasi terjemahan manusia dan komputer dari konten berbahasa Inggris. Konten ini diberikan hanya untuk kenyamanan Anda serta sebagai informasi umum dan tidak bisa dianggap sebagai lengkap atau akurat. Jika terdapat kontradiksi antara versi bahasa Inggris halaman ini dan terjemahannya, versi bahasa Inggris akan didahulukan. Lihat versi bahasa Inggris halaman ini.