ID Artikel: 000076534 Jenis Konten: Pemecahan Masalah Terakhir Ditinjau: 16/10/2020

Mengapa saya melihat sejumlah besar peringatan terkait blok RAM yang disintesis saat mengompilasi desain Intel® Stratix® 10 FPGA atau Intel Agilex® 7 dengan Intel® FPGA IP JESD204C dalam mode RX Simplex?

Lingkungan

  • Intel® Quartus® Prime Edisi Pro
  • JESD204B Intel® FPGA IP
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Masalah Kritis

    Deskripsi

    Saat menyusun desain dengan Intel® FPGA IP JESD204C dalam mode RX Simplex yang menargetkan Intel® Stratix® 10 perangkat dengan transiver E-Tile atau perangkat Intel Agilex® 7 menggunakan Perangkat Lunak Intel® Quartus® Prime Pro Edition versi 19.2 dan yang lebih baru, Anda mungkin melihat peringatan seperti yang ditunjukkan di bawah ini.

    Peringatan berikut terkait dengan sinyal rx_eb_data_soemb_out_w_w[L-1:0] dan rx_eb_data_somb_out_w_w[L-1:0] dan dapat diabaikan dengan aman karena hanya bit [0] masing-masing yang akan digunakan sebagai input ke lapisan transport.

    Peringatan(14320): Simpul jauh yang disintesis "u_j204c_rx_tx_ss|j204c_rx_tx_ip|intel_jesd204c|intel_jesd204c|j204c_rx_base_inst|j204c_rx_ll_top_inst|rx_ll_per_lane_inst[0].j204c_rx_eb_inst|j204c_eb_fifo|j204c_rx_eb_fifo_noecc_inst|j204c_rx_eb_fifo_noecc|scfifo_component|auto_generated|dpfifo|FIFOram|altera_syncram_impl1|q_b[129]"

    Peringatan(14320): Simpul jauh yang disintesis "u_j204c_rx_tx_ss|j204c_rx_tx_ip|intel_jesd204c|intel_jesd204c|j204c_rx_base_inst|j204c_rx_ll_top_inst|rx_ll_per_lane_inst[0].j204c_rx_eb_inst|j204c_eb_fifo|j204c_rx_eb_fifo_noecc_inst|j204c_rx_eb_fifo_noecc|scfifo_component|auto_generated|dpfifo|FIFOram|altera_syncram_impl1|q_b[130]"

    Peringatan berikut terkait dengan sinyal j204c_rx_cmd_data [18:7] saat konfigurasi header sinkronisasi adalah CRC-12.

    Namun, ini dapat diabaikan dengan aman karena konfigurasi CRC-12 hanya menggunakan 7 bit yang lebih rendah (dengan demikian, 12 sinyal akan disintesis).

    Peringatan(14320): Simpul jauh yang disintesis "u_j204c_rx_tx_ss|j204c_rx_tx_ip|intel_jesd204c|intel_jesd204c|j204c_rx_base_inst|j204c_rx_ll_top_inst|rx_ll_per_lane_inst[0].j204c_rx_eb_inst|j204c_eb_fifo|j204c_rx_eb_fifo_noecc_inst|j204c_rx_eb_fifo_noecc|scfifo_component|auto_generated|dpfifo|FIFOram|altera_syncram_impl1|q_b[138]"

    Peringatan(14320): Simpul jauh yang disintesis "u_j204c_rx_tx_ss|j204c_rx_tx_ip|intel_jesd204c|intel_jesd204c|j204c_rx_base_inst|j204c_rx_ll_top_inst|rx_ll_per_lane_inst[0].j204c_rx_eb_inst|j204c_eb_fifo|j204c_rx_eb_fifo_noecc_inst|j204c_rx_eb_fifo_noecc|scfifo_component|auto_generated|dpfifo|FIFOram|altera_syncram_impl1|q_b[139]"

    Peringatan(14320): Simpul jauh yang disintesis "u_j204c_rx_tx_ss|j204c_rx_tx_ip|intel_jesd204c|intel_jesd204c|j204c_rx_base_inst|j204c_rx_ll_top_inst|rx_ll_per_lane_inst[0].j204c_rx_eb_inst|j204c_eb_fifo|j204c_rx_eb_fifo_noecc_inst|j204c_rx_eb_fifo_noecc|scfifo_component|auto_generated|dpfifo|FIFOram|altera_syncram_impl1|q_b[140]"

    Peringatan(14320): Simpul jauh yang disintesis "u_j204c_rx_tx_ss|j204c_rx_tx_ip|intel_jesd204c|intel_jesd204c|j204c_rx_base_inst|j204c_rx_ll_top_inst|rx_ll_per_lane_inst[0].j204c_rx_eb_inst|j204c_eb_fifo|j204c_rx_eb_fifo_noecc_inst|j204c_rx_eb_fifo_noecc|scfifo_component|auto_generated|dpfifo|FIFOram|altera_syncram_impl1|q_b[141]"

    Peringatan(14320): Simpul jauh yang disintesis "u_j204c_rx_tx_ss|j204c_rx_tx_ip|intel_jesd204c|intel_jesd204c|j204c_rx_base_inst|j204c_rx_ll_top_inst|rx_ll_per_lane_inst[0].j204c_rx_eb_inst|j204c_eb_fifo|j204c_rx_eb_fifo_noecc_inst|j204c_rx_eb_fifo_noecc|scfifo_component|auto_generated|dpfifo|FIFOram|altera_syncram_impl1|q_b[142]"

    Peringatan(14320): Simpul jauh yang disintesis "u_j204c_rx_tx_ss|j204c_rx_tx_ip|intel_jesd204c|intel_jesd204c|j204c_rx_base_inst|j204c_rx_ll_top_inst|rx_ll_per_lane_inst[0].j204c_rx_eb_inst|j204c_eb_fifo|j204c_rx_eb_fifo_noecc_inst|j204c_rx_eb_fifo_noecc|scfifo_component|auto_generated|dpfifo|FIFOram|altera_syncram_impl1|q_b[143]"

    Peringatan(14320): Simpul jauh yang disintesis "u_j204c_rx_tx_ss|j204c_rx_tx_ip|intel_jesd204c|intel_jesd204c|j204c_rx_base_inst|j204c_rx_ll_top_inst|rx_ll_per_lane_inst[0].j204c_rx_eb_inst|j204c_eb_fifo|j204c_rx_eb_fifo_noecc_inst|j204c_rx_eb_fifo_noecc|scfifo_component|auto_generated|dpfifo|FIFOram|altera_syncram_impl1|q_b[144]"

    Peringatan(14320): Simpul jauh yang disintesis "u_j204c_rx_tx_ss|j204c_rx_tx_ip|intel_jesd204c|intel_jesd204c|j204c_rx_base_inst|j204c_rx_ll_top_inst|rx_ll_per_lane_inst[0].j204c_rx_eb_inst|j204c_eb_fifo|j204c_rx_eb_fifo_noecc_inst|j204c_rx_eb_fifo_noecc|scfifo_component|auto_generated|dpfifo|FIFOram|altera_syncram_impl1|q_b[145]"

    Peringatan(14320): Simpul jauh yang disintesis "u_j204c_rx_tx_ss|j204c_rx_tx_ip|intel_jesd204c|intel_jesd204c|j204c_rx_base_inst|j204c_rx_ll_top_inst|rx_ll_per_lane_inst[0].j204c_rx_eb_inst|j204c_eb_fifo|j204c_rx_eb_fifo_noecc_inst|j204c_rx_eb_fifo_noecc|scfifo_component|auto_generated|dpfifo|FIFOram|altera_syncram_impl1|q_b[146]"

    Peringatan(14320): Simpul jauh yang disintesis "u_j204c_rx_tx_ss|j204c_rx_tx_ip|intel_jesd204c|intel_jesd204c|j204c_rx_base_inst|j204c_rx_ll_top_inst|rx_ll_per_lane_inst[0].j204c_rx_eb_inst|j204c_eb_fifo|j204c_rx_eb_fifo_noecc_inst|j204c_rx_eb_fifo_noecc|scfifo_component|auto_generated|dpfifo|FIFOram|altera_syncram_impl1|q_b[147]"

    Peringatan(14320): Simpul jauh yang disintesis "u_j204c_rx_tx_ss|j204c_rx_tx_ip|intel_jesd204c|intel_jesd204c|j204c_rx_base_inst|j204c_rx_ll_top_inst|rx_ll_per_lane_inst[0].j204c_rx_eb_inst|j204c_eb_fifo|j204c_rx_eb_fifo_noecc_inst|j204c_rx_eb_fifo_noecc|scfifo_component|auto_generated|dpfifo|FIFOram|altera_syncram_impl1|q_b[148]"

    Peringatan(14320): Simpul jauh yang disintesis "u_j204c_rx_tx_ss|j204c_rx_tx_ip|intel_jesd204c|intel_jesd204c|j204c_rx_base_inst|j204c_rx_ll_top_inst|rx_ll_per_lane_inst[0].j204c_rx_eb_inst|j204c_eb_fifo|j204c_rx_eb_fifo_noecc_inst|j204c_rx_eb_fifo_noecc|scfifo_component|auto_generated|dpfifo|FIFOram|altera_syncram_impl1|q_b[149]"

    Resolusi

    Pesan peringatan ini diharapkan dan dapat diabaikan dengan aman.

    Produk Terkait

    Artikel ini berlaku untuk 3 produk

    Intel® Stratix® 10 TX FPGA
    Intel® Stratix® 10 MX FPGA
    FPGA dan SoC FPGA Intel® Agilex™

    Isi halaman ini adalah kombinasi terjemahan manusia dan komputer dari konten berbahasa Inggris. Konten ini diberikan hanya untuk kenyamanan Anda serta sebagai informasi umum dan tidak bisa dianggap sebagai lengkap atau akurat. Jika terdapat kontradiksi antara versi bahasa Inggris halaman ini dan terjemahannya, versi bahasa Inggris akan didahulukan. Lihat versi bahasa Inggris halaman ini.