ID Artikel: 000076732 Jenis Konten: Pemecahan Masalah Terakhir Ditinjau: 06/11/2020

Mengapa varian VHDL saya dari eCPRI Intel® FPGA IP gagal disimulasikan dalam Sinopsi VCS MX®?

Lingkungan

  • Intel® Quartus® Prime Edisi Pro
  • Intel® CPRI
  • CPRI
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Masalah Kritis

    Deskripsi

    Karena masalah dalam Intel® Quartus® Prime versi perangkat lunak 20.1 dan yang lebih baru, ketika menggunakan varian VHDL dari eCPRI Intel® FPGA IP dalam Sinopsi VCS MX*, pengompilasi simulator akan menandai kesalahan yang menyatakan bahwa ada kesalahan jenis untuk parameter "ADV_MAP".

    Resolusi

    Untuk mengatasi masalah ini di Intel® Quartus® Prime versi 20.1 dan yang lebih baru, ikuti langkah-langkah di bawah ini:

     

    1) Temukan berkas pembungkus tingkat atas yang dihasilkan dari eCPRI Anda Intel® FPGA IP di sini:

           /sim


     

    2) Ubah setiap string "ON" atau "OFF" yang ditetapkan ke parameter "ADV_MAP" ke integer 1 atau 0, secara berurut, seperti yang ditunjukkan di bawah ini:

     

    Dari

    ADV_MAP : string := "AKTIF";

     

    Untuk

    ADV_MAP: integer := 1;

     

    Dari

    ADV_MAP => "OFF",

     

    Untuk

    ADV_MAP => 0,

     

    Masalah ini telah diperbaiki dimulai dengan perangkat lunak Intel® Quartus® Prime versi 20.3.

    Produk Terkait

    Artikel ini berlaku untuk 2 produk

    Intel® Stratix® 10 FPGA dan SoC FPGA
    Intel® Arria® 10 FPGA dan SoC FPGA

    Isi halaman ini adalah kombinasi terjemahan manusia dan komputer dari konten berbahasa Inggris. Konten ini diberikan hanya untuk kenyamanan Anda serta sebagai informasi umum dan tidak bisa dianggap sebagai lengkap atau akurat. Jika terdapat kontradiksi antara versi bahasa Inggris halaman ini dan terjemahannya, versi bahasa Inggris akan didahulukan. Lihat versi bahasa Inggris halaman ini.