ID Artikel: 000077696 Jenis Konten: Pemecahan Masalah Terakhir Ditinjau: 11/09/2012

Mengapa beberapa desain bertingkah tidak benar jika memiliki megafungsi LPM_MULT dengan input konstan?

Lingkungan

  • Simulasi
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Deskripsi

    Karena masalah dalam versi perangkat lunak Quartus® II 9.1 hingga 10.1, optimisasi Sintesis Fisik dapat menghasilkan hasil yang salah untuk desain yang menargetkan Stratix® perangkat III, Stratix IV, Stratix V, Arria® II dan HardCopy®. Masalah ini memengaruhi desain yang berisi beberapa konfigurasi megafungsi LPM_MULT yang melibatkan LCELL menghasilkan konstan yang mendorong LCELL tambahan.

    Patch tersedia untuk memperbaiki masalah ini untuk perangkat lunak Quartus II versi 9.1 SP2, 10.0, 10.0 SP1 dan 10.1. Patch untuk versi 9.1 SP2, 10.0 dan 10.0 SP1 juga memungkinkan Anda untuk menganalisis desain Anda saat ini untuk melihat apakah itu telah terpengaruh oleh masalah ini. Lihat berkas Readme untuk patch ini untuk detail tentang kemampuan ini. Unduh dan instal patch yang sesuai dari tautan di bawah ini:



    Masalah ini telah diperbaiki dimulai dengan perangkat lunak Quartus II versi 10.1 SP1.

    Produk Terkait

    Artikel ini berlaku untuk 13 produk

    Perangkat ASIC HardCopy™ III
    Stratix® V GX FPGA
    Stratix® V GT FPGA
    Stratix® V GS FPGA
    Stratix® V E FPGA
    Stratix® IV GX FPGA
    Stratix® IV GT FPGA
    Stratix® IV E FPGA
    Stratix® III FPGA
    Perangkat ASIC HardCopy™ IV GX
    Perangkat ASIC HardCopy™ IV
    Arria® II GZ FPGA
    Arria® II GX FPGA

    Isi halaman ini adalah kombinasi terjemahan manusia dan komputer dari konten berbahasa Inggris. Konten ini diberikan hanya untuk kenyamanan Anda serta sebagai informasi umum dan tidak bisa dianggap sebagai lengkap atau akurat. Jika terdapat kontradiksi antara versi bahasa Inggris halaman ini dan terjemahannya, versi bahasa Inggris akan didahulukan. Lihat versi bahasa Inggris halaman ini.