ID Artikel: 000077894 Jenis Konten: Pemecahan Masalah Terakhir Ditinjau: 20/08/2021

Mengapa F-Tile PMA/FEC Direct PHY Intel FPGA IP Debug Endpoint pada Antarmuka Avalon Datapath dan Debug Endpoint pada Antarmuka Avalon PMA bekerja di Intel® Quartus® Prime Edisi Pro Versi Perangkat Lunak 21.2?

Lingkungan

  • Intel® Quartus® Prime Edisi Pro
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Deskripsi

    Karena masalah di Intel® Quartus® Prime Pro Edition Software versi 21.2, F-Tile PMA/FEC Direct PHY Intel FPGA IP Debug Endpoint pada Antarmuka datapath Avalon dan Endpoint Debug pada Antarmuka Avalon PMA tidak berfungsi.

    Jika Anda mengaktifkan dua opsi Antarmuka Avalon ini dalam Intel FPGA IP F-Tile PMA/FEC Direct PHY, Anda tidak akan dapat membaca/menulis dan mengakses register yang disimpan di ruang konfigurasi ulang FEC dan blok antarmuka PMA dan PMA.

    Resolusi

    Patch tersedia untuk memperbaiki masalah ini pada perangkat lunak Intel® Quartus® Prime Edisi Pro versi 21.2. Unduh dan instal Patch 0.16 dari tautan yang sesuai di bawah ini.

    Unduh patch 0.16 untuk Windows (quartus-21.2-0.16-windows.exe)
    Unduh patch 0.16 untuk Linux (quartus-21.2-0.16-linux.run)
    Unduh Readme untuk patch 0.16 (quartus-21.2-0.16-readme.txt)

    Atau, untuk mengatasi masalah ini, Anda dapat menginterupsi JTAG eksternal untuk Avalon Master Bridge Intel FPGA IP dari Katalog IP dan menghubungkan sinyal konfigurasi ulang yang setara ke F-Tile PMA/FEC Direct PHY Intel FPGA IP Debug Endpoint pada Antarmuka datapath Avalon dan Endpoint Debug pada sinyal Antarmuka Avalon PMA.

    Metode ini juga memungkinkan Anda untuk membaca/menulis dan mengakses ke register yang disimpan di ruang konfigurasi ulang FEC dan blok antarmuka PMA dan PMA.

    Informasi tambahan

    Masalah ini telah diperbaiki dimulai dengan Intel® Quartus® Perangkat Lunak Prim Pro Edition versi 21.4.

    Produk Terkait

    Artikel ini berlaku untuk 2 produk

    Intel® Agilex™ 7 FPGA dan SoC FPGA Seri I
    FPGA dan SoC FPGA Intel® Agilex™ Seri F

    Isi halaman ini adalah kombinasi terjemahan manusia dan komputer dari konten berbahasa Inggris. Konten ini diberikan hanya untuk kenyamanan Anda serta sebagai informasi umum dan tidak bisa dianggap sebagai lengkap atau akurat. Jika terdapat kontradiksi antara versi bahasa Inggris halaman ini dan terjemahannya, versi bahasa Inggris akan didahulukan. Lihat versi bahasa Inggris halaman ini.