ID Artikel: 000080882 Jenis Konten: Pemecahan Masalah Terakhir Ditinjau: 21/05/2013

Kegagalan Timing Pemulihan Ethernet Tiga Kecepatan

Lingkungan

  • Intel® Quartus® II Edisi Berlangganan
  • Ethernet
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Masalah Kritis

    Deskripsi

    Fungsi Triple Speed Ethernet MegaCore mungkin memiliki pemulihan pelanggaran waktu. Jalur yang gagal berisi sinyal clock global yang didorong dari logika inti FPGA.

    Pelanggaran waktu pemulihan dapat memengaruhi desain Anda dalam perangkat keras selama kondisi reset.

    Masalah ini memengaruhi semua desain menggunakan perangkat Arria V dalam versi 13.0 dari fungsi Triple Speed Ethernet MegaCore.

    Resolusi

    Anda perlu membatasi sinyal di perangkat lunak Quartus II pas dari menggunakan clock global (GCLK). Lihat Quartus II laporan kompilasi perangkat lunak untuk menemukan jalur yang tepat untuk yang terkena jalur reset. Misalnya, jika sinyal dengan pelanggaran waktu adalah altera_tse_reset_synhronizer_chain_out pengaturan ulang global, penggunaan penetapan perangkat lunak Quartus II berikut untuk memaksa sinyal untuk tidak menggunakan GCLK:

    set_instance_assignment GLOBAL_SIGNAL OFF - ke altera_tse_ps_pma:altera_tse_pcs_pma_instlaltera_tse_top_1000_base_x:altera_tse_top_1000_base_x_instlaltera_tse_reset_synchronizer:reset_sync_0laltera_tse_reset_synchronizer_chain_out

    Masalah ini akan diperbaiki dalam versi Triple yang akan datang Mempercepat fungsi Ethernet MegaCore.

    Produk Terkait

    Artikel ini berlaku untuk 1 produk

    Arria® V FPGA dan SoC FPGA

    Isi halaman ini adalah kombinasi terjemahan manusia dan komputer dari konten berbahasa Inggris. Konten ini diberikan hanya untuk kenyamanan Anda serta sebagai informasi umum dan tidak bisa dianggap sebagai lengkap atau akurat. Jika terdapat kontradiksi antara versi bahasa Inggris halaman ini dan terjemahannya, versi bahasa Inggris akan didahulukan. Lihat versi bahasa Inggris halaman ini.