Karena masalah dengan Intel® Quartus® Prime Software, Anda mungkin melihat peringatan Timing Analyzer terkait clock tanpa batasan.
Batasan waktu diperlukan untuk mengoperasikan IP SEU dengan benar (Deteksi SEU Lanjutan dan IP Injeksi Kesalahan). Pengguna harus memberikan batasan ini dalam berkas Synopsys* Design Constraint (.sdc).
Untuk mengatasi masalah ini, tambahkan batasan ini baik dengan menambahkannya langsung ke file batasan SDC yang ada, atau masukkan ke file terpisah (misalnya, "seu_constraints.sdc")
Batasan # untuk SEU IP
create_clock -name intosc -periode 10.000 [get_nets {*|alt_fault_injection_component|alt_fi_inst|intosc}]
create_generated_clock -name emr_unloader_STATE_CLOCKHIGH -source [get_nets {*|alt_fi_inst|intosc}] [get_keepers {*altera_emr_unloader:emr_unloader_component|current_state. STATE_CLOCKHIGH}]
create_generated_clock -name asd_current_state_MISS -source [get_nets {*|alt_fi_inst|intosc}] [get_keepers {*|asd_cache:asd_cache_inst|current_state. STATE_MISS}]
create_generated_clock -name asd_cpuread_oneshot -source [get_nets {*|alt_fi_inst|intosc}] [get_keepers {*|asd_cache:asd_cache_inst|asd_ext_oneshot:cpuread_oneshot|last}]
set_clock_groups -exclusive -group [get_clocks {emr_unloader_STATE_CLOCKHIGH}]
set_clock_groups -exclusive -group [get_clocks {asd_current_state_MISS}]
set_clock_groups -exclusive -group [get_clocks {asd_cpuread_oneshot}]