ID Artikel: 000084772 Jenis Konten: Pesan Kesalahan Terakhir Ditinjau: 22/04/2015

Galat (177021): Pengandar clock eksternal <altclkctrl instance="" name=""> sd1 tidak dapat memiliki pengaturan ENA_REGISTER_MODE reg ganda</altclkctrl>

Lingkungan

  • Intel® Quartus® II Edisi Berlangganan
  • ALTCLKCTRL Intel® FPGA IP
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Deskripsi

    Anda akan melihat pesan kesalahan ini di perangkat lunak Quartus® II saat menggunakan mega fungsi ALTCLKCTRL yang diatur untuk jalur eksternal dan port 'ena'. Hal ini memengaruhi rangkaian perangkat Stratix® V, Arria® V, dan Cyclone® V.

    Satu-satunya pengaturan hukum yang didukung untuk Bagaimana Anda ingin mendaftarkan port 'ena' adalah "tepi jatuh dari jam input." Namun, karena bug dalam perangkat lunak Quartus II hingga versi 13.0 SP1, Anda akan menerima kesalahan berikut selama kompilasi desain saat menggunakan opsi ini dalam fungsi mega ALTCLKCTRL:

    Kesalahan (15845): Nilai ilegal "falling edge" untuk parameter ena_register_mode di Clock Enable Block "<altclkctrl instance name> sd1" -- nilainya harus double register saat input ena digunakan, dan jenis clocknya adalah "External Clock Output".

    Resolusi

    Jika Anda memerlukan penggunaan mega fungsi ALTCLKCTRL yang diatur untuk jalur eksternal dan port \'ena\' dalam keluarga perangkat yang terpengaruh, hubungi mySupport dan berikan nomor referensi berikut untuk solusi: rd08082013_561.

    Masalah ini telah diperbaiki di Intel® Quartus® 13.1.

    Produk Terkait

    Artikel ini berlaku untuk 15 produk

    Cyclone® V SX SoC FPGA
    Stratix® V E FPGA
    Cyclone® V GT FPGA
    Stratix® V GX FPGA
    Arria® V ST SoC FPGA
    Arria® V GX FPGA
    Arria® V GT FPGA
    Cyclone® V E FPGA
    Cyclone® V SE SoC FPGA
    Cyclone® V GX FPGA
    Stratix® V GT FPGA
    Stratix® V GS FPGA
    Arria® V GZ FPGA
    Arria® V SX SoC FPGA
    Cyclone® V ST SoC FPGA

    Isi halaman ini adalah kombinasi terjemahan manusia dan komputer dari konten berbahasa Inggris. Konten ini diberikan hanya untuk kenyamanan Anda serta sebagai informasi umum dan tidak bisa dianggap sebagai lengkap atau akurat. Jika terdapat kontradiksi antara versi bahasa Inggris halaman ini dan terjemahannya, versi bahasa Inggris akan didahulukan. Lihat versi bahasa Inggris halaman ini.