ID Artikel: 000084895 Jenis Konten: Informasi & Dokumentasi Produk Terakhir Ditinjau: 14/01/2013

Bagaimana cara mengkompilasi beberapa berkas .vqm jika berisi nama entitas yang sama?

Lingkungan

BUILT IN - ARTICLE INTRO SECOND COMPONENT
Deskripsi

Jika proyek Quartus® II Anda menggunakan beberapa file Verilog Quartus Mapping (.vqm) yang dihasilkan dari alat sintesis pihak ketiga seperti Synplify, Anda mungkin menemukan bahwa nama entitas yang sama dapat digunakan di dalam masing-masing file. Jika Anda mengompilasi berkas .vqm ini dalam perangkat lunak Quartus II, Anda mungkin melihat peringatan berikut karena konflik ruang nama:

Critical Warning (12050): Ignored duplicate entity "" in file .vqm. Used entity in file .vqm

Untuk menghindari peringatan ini, kompilasi setiap berkas .vqm ke pustaka desain terpisah. Lihat bagian Pustaka Desain dalam bab Quartus II Integrated Synthesis (PDF) dari Buku Panduan Quartus II untuk detail tentang cara menentukan pustaka desain saat menambahkan file .vqm ke proyek Anda.

Produk Terkait

Artikel ini berlaku untuk 1 produk

Perangkat yang Dapat Diprogram Intel®

Isi halaman ini adalah kombinasi terjemahan manusia dan komputer dari konten berbahasa Inggris. Konten ini diberikan hanya untuk kenyamanan Anda serta sebagai informasi umum dan tidak bisa dianggap sebagai lengkap atau akurat. Jika terdapat kontradiksi antara versi bahasa Inggris halaman ini dan terjemahannya, versi bahasa Inggris akan didahulukan. Lihat versi bahasa Inggris halaman ini.