ID Artikel: 000086644 Jenis Konten: Pemecahan Masalah Terakhir Ditinjau: 21/11/2016

Apakah rantai alat OpenCL menghasilkan file AOCX dengan informasi debug dan kode sumber yang tertanam di dalamnya?

Lingkungan

  • Intel® Quartus® Prime Edisi Pro
  • Intel® FPGA SDK untuk OpenCL™ Edisi Pro
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Deskripsi

    Ya, saat Anda mengompulasikan file kernel tanpa opsi perintah aoc "-g0", Intel® FPGA SDK untuk compiler offline OpenCL™ akan menghasilkan file .aocx final dengan informasi debugging tertanam dan kode sumber. Hal ini terjadi pada alat versi 16.1.

    Saat membuat profil kernel Anda, jika Anda menyertakan opsi perintah --profile dan -g0offline compiler dalam perintah aoc Anda, kode sumber kernel dan IP Anda akan tetap muncul di file .aocx yang dihasilkan.

    Resolusi

    Gunakan flag "-g0" saat menjalankan kompilasi aoc untuk mencegah informasi debug dan kode sumber yang tertanam dalam file .aocx

    Contoh: aoc -g0

    Untuk menghapus kode sumber secara manual dari berkas .aocx setelah dibuat dengan opsi bawaan:

    1. Jalankan perintah daftar file aocl binedit dan temukan semua bagian yang dimulai dengan ".acl.source" atau ".acl.clang_ir".

    2. Jalankan perintah aocl binedit update untuk setiap bagian yang diidentifikasi pada Langkah 1.

    - Untuk Windows, kosong

    - Untuk Linux, adalah /dev/null

    Untuk menghapus kode sumber secara otomatis menggunakan skrip Perl yang disediakan Intel:

    1. Unduh skrip strip_source Perl.

    2. Unzip file strip_source.zip di direktori kerja saat ini dan kemudian jalankan perintah berkas perl strip_source.pl .

    Catatan: Untuk menjalankan skrip pada Windows, Anda harus memiliki Perl yang tersedia pada baris perintah.  Pastikan Anda menambahkan jalur ke Perl ke pengaturan variabel lingkungan pengguna PATH.

    Masalah ini dijadwalkan akan diperbaiki dalam rilis Intel FPGA SDK untuk pengompulasi offline OpenCL di masa mendatang.

    Produk Terkait

    Artikel ini berlaku untuk 1 produk

    Perangkat yang Dapat Diprogram Intel®

    Isi halaman ini adalah kombinasi terjemahan manusia dan komputer dari konten berbahasa Inggris. Konten ini diberikan hanya untuk kenyamanan Anda serta sebagai informasi umum dan tidak bisa dianggap sebagai lengkap atau akurat. Jika terdapat kontradiksi antara versi bahasa Inggris halaman ini dan terjemahannya, versi bahasa Inggris akan didahulukan. Lihat versi bahasa Inggris halaman ini.