ID Artikel: 000087019 Jenis Konten: Pemecahan Masalah Terakhir Ditinjau: 24/11/2011

Dalam DDR2 dan DDR3 SDRAM Controller dengan UniPHY, Memilih VHDL Memberikan Verilog HDL IP Core

Lingkungan

  • Intel® Quartus® II Edisi Berlangganan
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Masalah Kritis

    Deskripsi

    Jika Anda memilih VHDL di antarmuka MegaWizard dan menghasilkan kontroler SDRAM DDR2 atau DDR3 dengan inti IP UniPHY, yang dihasilkan inti ada dalam HDL Verilog.

    Resolusi

    Untuk menghasilkan inti IP VHDL, ikuti langkah-langkah berikut:

    1. Dalam editor teks yang terbuka <Quartus Direktori II>\ip\altera\uniphy\lib\common_ddrx.tcl.
    2. Cari string "LANGUAGE" yang muncul dalam kode berikut: append param_str ",LANGUAGE=[get_generation_property HDL_LANGUAGE]"
    3. Ubah baris ini ke kode berikut:append param_str ",LANGUAGE=vhdl"
    4. Lanjutkan mencari kemunculan string berikutnya "LANGUAGE" yang muncul dalam kode berikut:if {[string compare -nocase [get_generation_property HDL_LANGUAGE] verilog] == 0} { add_file /.v {SYNTHESIS SUBDIR} puts "set_global_assignment -name VERILOG_FILE \[file join $::quartus(qip_path) .v\]" } else { add_file /.vhd {SYNTHESIS SUBDIR} puts "set_global_assignment -name VHDL_FILE \[file join $::quartus(qip_path) .vhd\]" }
    5. Komentari baris if, baris lain, dan blok kode di bagian persyaratan sehingga kode di "else" block selalu melakukan eksekusi, mirip dengan kode berikut:# if {[string compare -nocase [get_generation_property HDL_LANGUAGE] verilog] == 0} { # add_file /.v {SYNTHESIS SUBDIR} # puts "set_global_assignment -name VERILOG_FILE \[file join $::quartus(qip_path) .v\]" # } else { add_file /.vhd {SYNTHESIS SUBDIR} puts "set_global_assignment -name VHDL_FILE \[file join $::quartus(qip_path) .vhd\]" # }
    6. Gunakan antarmuka MegaWizard untuk menghasilkan berbasis UniPHY Inti IP.

    Untuk menghasilkan inti IP HDL Verilog, kembalikan yang asli berkas common_ddrx.tcl .

    Produk Terkait

    Artikel ini berlaku untuk 1 produk

    Perangkat yang Dapat Diprogram Intel®

    Isi halaman ini adalah kombinasi terjemahan manusia dan komputer dari konten berbahasa Inggris. Konten ini diberikan hanya untuk kenyamanan Anda serta sebagai informasi umum dan tidak bisa dianggap sebagai lengkap atau akurat. Jika terdapat kontradiksi antara versi bahasa Inggris halaman ini dan terjemahannya, versi bahasa Inggris akan didahulukan. Lihat versi bahasa Inggris halaman ini.