ID Artikel: 000090673 Jenis Konten: Pemecahan Masalah Terakhir Ditinjau: 11/04/2023

Mengapa desain saya gagal dalam perangkat keras saat menggunakan Perangkat Lunak Intel® Quartus® Prime Edisi Pro versi 22.1?

Lingkungan

  • Intel® Quartus® Prime Edisi Pro
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Masalah Kritis

    Deskripsi

    Karena masalah di Intel® Quartus® Prime Edisi Pro Software versi 22.1, Anda mungkin melihat kegagalan dalam perangkat keras ketika pesan peringatan di bawah ini ditampilkan selama tahap 'Analisis & Sintesis'. Desain yang terpengaruh akan memiliki ketidaksesuaian antara RTL dan netlist sintesis.

    Desain yang terkena masalah sintesis akan melihat peringatan berikut dalam berkas laporan sintesis (*.syn.rpt).

    Peringatan (13228): Peringatan HDL atau VHDL Verilog pada <file>: defparam under generate scope tidak dapat mengubah nilai parameter di luar file hierarkinya: <file>

    Resolusi

    Patch tersedia untuk mengatasi masalah ini untuk Intel® Quartus® Perangkat Lunak Prime Edisi Pro versi 22.1. Unduh dan instal patch 0.05 dari tautan yang sesuai di bawah ini.

    Masalah ini telah diperbaiki pada semua rilis Intel® Quartus® Perangkat Lunak Prime Edisi Pro di masa mendatang mulai dari 22.1.

    Produk Terkait

    Artikel ini berlaku untuk 1 produk

    Perangkat yang Dapat Diprogram Intel®

    Isi halaman ini adalah kombinasi terjemahan manusia dan komputer dari konten berbahasa Inggris. Konten ini diberikan hanya untuk kenyamanan Anda serta sebagai informasi umum dan tidak bisa dianggap sebagai lengkap atau akurat. Jika terdapat kontradiksi antara versi bahasa Inggris halaman ini dan terjemahannya, versi bahasa Inggris akan didahulukan. Lihat versi bahasa Inggris halaman ini.