Penganalisis Waktu Membuat Perintah Clock yang Dihasilkan

author-image

Oleh

Timing Analyzer menganggap pembagi clock, clock ripple, atau sirkuit yang mengubah atau mengubah karakteristik clock masuk atau host sebagai clock yang dihasilkan. Anda harus menentukan keluaran sirkuit ini sebagai clock yang dihasilkan. Definisi ini memungkinkan Penganalisis Waktu untuk menganalisis clock dan akun ini untuk setiap latensi jaringan yang terkait dengannya.

Anda dapat menggunakan perintah create_generated_clock untuk membuat clock yang dihasilkan. Daftar berikut menunjukkan perintah create_generated_clock dan opsi yang tersedia:

create_generated_clock
   [-name <clock name>]
   -source <host pin>
   [-edges <edge list>]
   [-edge_shift <shift list>]
   [-divide_by <factor>]
   [-multiply_by <factor>]
   [-duty_cycle <percent>]
   [-add]
   [-invert]
   [-host_clock <clock>]
   [-phase <phase>]
   [-offset <offset>]
   <source object>

Tabel 1 menguraikan opsi untuk perintah create_generated_clock.

Latensi sumber didasarkan pada penundaan jaringan clock dari host clock (tidak harus pin host). Anda dapat menggunakan perintah set_clock_latency -source untuk mengganti latensi sumber.

Gambar 1 menunjukkan bentuk gelombang untuk perintah SDC berikut yang membuat clock yang dihasilkan terbalik berdasarkan clock 10 ns.

create_clock -periode 10 [get_ports clk]
create_generated_clock -divide_by 1 -invert -source [get_registers clk] \
    [get_registers gen|clkreg]

Gambar 1. Menghasilkan clock terbalik.

Gambar 2 menunjukkan bentuk gelombang untuk perintah SDC berikut yang mengubah clock yang dihasilkan menggunakan opsi -edges dan -edge_shift.

create_clock -periode 10 -waveform { 0 5 } [get_ports clk]
# Menciptakan sumber create_generated_clock clock divide-by-2
[get_ports clk] -edges { 1 3 5 } \
    [get_registers clkdivA|clkreg]
# Membuat clock terpisah berdasarkan 2 clock terpisah dari siklus tugas host clock kini 50%)
create_generated_clock -source [get_ports clk] -edges { 1 1 5 } -edge_shift  0 5 0 } \
    [get_registers clkdivB|clkreg]

Gambar 2. Edge & edge yang mengubah clock yang dihasilkan.

Gambar 3 menunjukkan wafeform untuk perintah SDC berikut yang menunjukkan efek dari opsi -multiply pada clock yang dihasilkan.

create_clock -periode 10 -waveform { 0 5 } [get_ports clk]
# Membuat sumber clock create_generated_clock multiply-by-2
[get_ports clk] -multiply_by 2 \
    [get_registers clkmult|clkreg]

Gambar 3. Mengalikan clock yang dihasilkan.

Isi halaman ini adalah kombinasi terjemahan manusia dan komputer dari konten berbahasa Inggris. Konten ini diberikan hanya untuk kenyamanan Anda serta sebagai informasi umum dan tidak bisa dianggap sebagai lengkap atau akurat. Jika terdapat kontradiksi antara versi bahasa Inggris halaman ini dan terjemahannya, versi bahasa Inggris akan didahulukan. Lihat versi bahasa Inggris halaman ini.